MANIC: A Vector-Dataflow Architecture for Ultra-Low-Power Embedded Systems

Wednesday October 9, 2019
Location: CIC Panther Hollow
Time: 4:30PM-5:30PM

Abstract

Ultra-low-power sensor nodes enable many new applications and are becoming increasingly pervasive and important. Energy efficiency is the key determinant of the value of these devices: battery-powered nodes want their battery to last, and nodes that harvest energy should minimize their time spent recharging. Unfortunately, current devices are energy-inefficient.

In this work, we present MANIC, a new, highly energy-efficient architecture targeting the ultra-low-power sensor domain. MANIC achieves high energy-efficiency while maintaining programmability and generality. MANIC introduces vector-dataflow execution, allowing it to exploit the dataflows in a sequence of vector instructions and amortize instruction fetch and decode over a whole vector of operations. By forwarding values from producers to consumers, MANIC avoids costly vector register file accesses. By carefully scheduling code and avoiding dead register writes, MANIC avoids costly vector register writes. Across seven benchmarks, MANIC is on average 2.8× more energy efficient than a scalar baseline, 38.1% more energy-efficient than a vector baseline, and gets to within 26.4% of an idealized design.

Bio

Graham is third-year PhD student co-advised by Nathan Beckmann and Brandon Lucia. He is primarily interested in improving the energy-efficiency of low-power computers and the applications that such devices enable. Energy-efficiency is key; for devices powered by batteries, energy efficiency determines the lifetime of the device and for energy-harvesting devices, energy-efficiency determines which applications are feasible. His research encompasses both hardware and software solutions to improving energy-efficiency. Broadly, Graham works on microarchitecture, programming models, computer architecture, and machine learning.