Publications

Chronological

 

2018

  • W Choi, K Duraisamy, RG Kim, JR Doppa, PP Pande, D Marculescu, R Marculescu, On-Chip Communication Network for Efficient Training of Deep Convolutional Networks on Heterogeneous Manycore Systems 2018
  • C Lo and R Marculescu, MetaNN: Accurate Classification of Host Phenotypes From Metagenomic Data Using Neural Networks, in Proceedings of the International Conference on Bioinformatics, Computational Biology, and Health Informatics, ACM, 2018
  • C Lo and R Marculescu, PGLasso: Microbial Community Detection through Phylogenetic Graphical Lasso, from KDD Workshop on Machine Learning for Medicine and Healthcare, 2018
  • A Topirceanu, M Udrescu and R Marculescu Weighted Betweenness Preferential Attachment: A New Mechanism Explaining Social Network Formation and Evolution in Scientific Reports 8, 2018
  • K Bhardwaj and R Marculescu, Dimensionality Reduction via Community Detection in Small Sample Datasets in the Lecture Notes in Computer Science book series, 2018
  • K Bhardwaj, D Stamoulis, R Ding, D Marculescu, and R Marculescu, Computational Approaches for Incorporating Short- and Long-Term Dynamics in Smart Water Networks in Smart Water Grids, A Cyber-Physical Systems Approach 2018

  • 2017

  • RG Kim, W Choi, Z Chen, JR Doppa, PP Pande, D Marculescu, R Marculescu, "Imitation Learning for Dynamic VFI Control in Large Scale Manycore Systems," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems. Sept. 2017.
  • BK Joardar, W Choi, RG Kim, JR Doppa, PP Pande, D Marculescu, R Marculescu, "3D NoC_Enabled Heterogeneous Manycore Architectures for Accelerating CNN Training: Performance and Thermal Trade-offs," in Proceedings of the 2017 IEEE/ACM International Symposium on Networks-on-Chip, Seoul, South Korea, October 2017.
  • K Bhardwaj and R Marculescu, K-hop learning: a network-based feature extraction for improved river flow prediction, in Proceedings of the 3rd International Workshop on Cyber-Physical Systems for Smart Water Networks (CySWater), ACM, 2017
  • R Ding, D Stamoulis, K Bhardwaj, D Marculescu, and R Marculescu, Enhancing precipitation models by capturing multivariate and multiscale climate dynamics, in Proceedings of the 3rd International Workshop on Cyber-Physical Systems for Smart Water Networks (CySWater), ACM, 2017
  • K Bhardwaj, H Miu, and R Marculescu, Discovering hidden knowledge in carbon emissions data: A multilayer network approach, in International Conference on Discovery Science, Springer, 2017
  • C Lo and R Marculescu, Inferring Microbial Interactions from Metagenomic Time-series Using Prior Biological Knowledge, in Proceedings of the 8th ACM International Conference on Bioinformatics, Computational Biology, and Health Informatics, ACM, Aug. 2017
  • S Shah, A Raghavachari, C Lo, R Marculescu, Molecular communication with DNA cellular storage system, in Proceedings of the 4th ACM International Conference on Nanoscale Computing and Communication, ACM, Sep. 2017
  • C Lo, and R Marculescu, MPLasso: Inferring microbial association networks using prior microbial knowledge, in PLoS computational biology, Dec, 2017

  • 2016

  • W Choi, K Duraisamy, RG Kim, JR Doppa, PP Pande, R Marculescu, D Marculescu, "Hybrid Network-on-Chip Architectures for Accelerating Deep Learning Kernels on Heterogeneous Manycore Platforms," in Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, Pittsburgh, USA, October 2016.
  • RG Kim, W Choi, Z Chen, PP Pande, D Marculescu, R Marculescu, "Wireless NoC and Dynamic VFI Co-Design: Energy Efficiency without Performance Penalty," in IEEE Transactions on Very Large Scale Integration Systems, 24 (7), 2488-2501, July 2016.
  • RG Kim, W Choi, G Liu, E Mohandesi, PP Pande, D Marculescu, R Marculescu, "Wireless NoC for VFI-Enabled Multicore Chip Design: Performance Evaluation and Design Trade-offs," in IEEE Transactions on Computers, 64 (4), 1323-1336, April 2016.
  • G Wei, C Lo, C Walsh, NL Hiller, R Marculescu, In Silicon Evaluation of the Impacts of Quorum Sensing Inhibition (QSI) on Strain Competition and Development of QSI Resistance, in Scientific Reports, October 2016.
  • C Lo, R Marculescu, An Autonomous and Adaptive Bacteria-based Drug Delivery System, in Proceedins of the Third Annual International Conference on Nanoscale Computing and Communication, New York, USA, Sept 2016.
  • C Lo, R Marculescu, Autonomous and Adaptive Control of Populations of Bacteria Through Environment Regulation, in 14th International Conference on Computational Methods in Systems Biology, Cambridge, UK, Sept 2016.
  • HK Peng, HC Lee, JY Pan, R Marculescu, Data-Driven Engineering of Social Dynamics: Pattern Matching and Profit Maximization, in PLoS One 11 (1), January 2016.
  • A Topirceanu, M Udrescu, M Vladutiu, R Marculescu, Tolerance-based interaction: A new model targeting opinion formation and diffusion in social networks, in PeerJ Computer Science 2, e42, January 2016.

  • 2015

  • Z Qian, DC Juan, P Bogdan, CY Tsui, D Marculescu, R Marculescu, "A Support Vector Regression (SVR) based Latency Model for Network-on-Chip (NoC) Architectures," in Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, DOI: 10.1109/TCAD.2015.2474393, August 2015.
  • K Duraisamy, RG Kim, W Choi, G Liu, PP Pande, R Marculescu, D Marculescu, "Energy efficient MapReduce with VFI-enabled multicore platforms," in Proceedings of the 52nd Annual Design Automation Conference, San Francisco, June 2015.
  • RD Blanton, X Li, K Mai, D Marculescu, R Marculescu, J Paramesh, J Schneider, DE Thomas, "Statistical Learning in Chip (SLIC), " in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, Austin, USA, November 2015.
  • PP Pande, RG Kim, W Choi, Z Chen, D Marculescu, R Marculescu, "The (Low) Power of Less Wiring: Enabling Energy Efficiency in Many-Core Platforms Through Wireless NoC, " in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, Austin, USA, November 2015.
  • HK Peng, R Marculescu, Multi-Scale Compositionality: Identifying the Compositional Structures of Social Dynamics Using Deep Learning, PloS one 10 (4), e0118309, April 2015.
  • K Bhardwaj, R Marculescu, Network-based Modeling and Analysis of Cloud Fraction and Precipitation: A case study for the Ohio River Basin, in Proceedings of the 1st ACM International Workshop on Cyber-Physical Systems for Smart Water Networks, CPS Week, Seattle, USA, April 2015.
  • G Wei, C Walsh, I Cazan, R Marculescu, Molecular tweeting: unveiling the social network behind heterogeneous bacteria populations, in Proceedings of the 6th ACM Conference on Bioinformatics, Computational Biology and Health Informatics, Atlanta, USA, Sept 2015.
  • C Lo, G Wei, R Marculescu, Towards Autonomous Control of Molecular Communication in Populations of Bacteria, in Proceedings of the Second Annual International Conference on Nanoscale Computing and Communication, Boston, USA, Sept 2015.

  • 2014

  • Z Qian, DC Juan, P Bogdan, CY Tsui, D Marculescu, R Marculescu, "A comprehensive and accurate latency model for network-on-chip performance analysis," in Design Automation Conference (ASP-DAC), 2014 19th Asia and South Pacific, Singapore, January 2014.
  • SJ Hollis, C Jackson, P Bogdan, R Marculescu, "Exploiting emergence in on-chip interconnects," in IEEE Transactions on Computers, 63 (3), 570-582, March 2014.
  • H Matsutani, M Koibuchi, I Fujiwara, T Kagami, Y Take, T Kuroda, P Bogdan, R Marculescu, H Amano, "Low-latency wireless 3D NoCs via randomized shortcut chips," in Proceedings of the conference on Design, Automation & Test in Europe, DATE’14, Dresden, Germany, March 2014.
  • R Marculescu, PP Pande, D Heo, H Matsutani, "Introduction to the special session on “Interconnect enhances architecture: Evolution of wireless NoC from planar to 3D”, "in Networks-on-Chip (NoCS), 2014 Eighth IEEE/ACM International Symposium on, Ferrara, Italy, Sept 2014."
  • Y Xue, Z Qian, G Wei, P Bogdan, CY Tsui, R Marculescu, "An efficient network-on-chip (noc) based multicore platform for hierarchical parallel genetic algorithms," in Networks-on-Chip (NoCS), 2014 Eighth IEEE/ACM International Symposium on, 17-24, Ferrara, Italy, Sept 2014.
  • R Kim, G Liu, P Wettin, R Marculescu, D Marculescu, PP Pande, "Energy-efficient VFI-partitioned multicore design using wireless NoC architectures," in Compilers, Architecture and Synthesis for Embedded Systems (CASES), 2014 International Conference on, New Delhi, India, 12-17 Oct, 2014."
  • HK Peng, R Marculescu, ASH: Scalable Mining of Collective Behaviors in Social Media using Riemannian Geometry, Academy of Science and Engineering (ASE), USA,© ASE 2014
  • P. Bogdan, B. M. Deasy, B. Gharaibeh, T. Roehrs and R. Marculescu, Heterogeneous Structure of Stem Cells Dynamics: Statistical Models and Quantitative Predictions, Scientific Reports, 2014
  • G. Wei and R. Marculescu, Miniature Devices in the Wild: Modeling Molecular Communication in Complex Extracellular Spaces, IEEE Journal on Selected Areas in Communications - Molecular, Biological, and Multi-Scale Communications Series, 2014
  • J. Zhuang, G. Wei, R. Wright Carlsen, M. R. Edwards, R. Marculescu, P. Bogdan, M. Sitti, Analytical modeling and experimental characterization of chemotaxis in Serratia marcescens, Physical Review E 89.5 (2014)
  • G. Wei and R. Marculescu,Don't Let History Repeat Itself: Optimal Multidrug Quorum Quenching of Pathogens Network, in Proc. of the 1nd ACM International Conference on Nanoscale Computing and Communication, Atlanta, Georgia, USA, May 13-14, 2014.

  • 2013

  • Z. Qian, D.-C. Juan, P. Bogdan, C.-Y. Tsui, D. Marculescu, R. Marculescu, "SVR-NoC: A Performance Analysis Tool for Network-on-Chip Architectures Using Learning-based Support Vector Regression Model," in Proc. IEEE/ACM Design, Automation, and Test in Europe Conference (DATE), Grenoble, France, March 2013.
  • H. Matsutani, P. Bogdan, R. Marculescu, Y. Take, D. Sasaki, H. Zhang, M. Koibuchi, T. Kuroda, H. Amano, ' A Case for Wireless 3D NoCs for CMPs', in Proc. ASP-DAC, Yokohama, Japan, Jan. 2013. (Best Paper Award)
  • G. Wei, P. Bogdan, and R. Marculescu, Bumpy Rides: Modeling the Dynamics of Chemotactic Interacting Bacteria, IEEE Journal on Selected Areas in Communications - 2013 Special Issue on Emerging Technologies in Communications, 2013.
  • G. Wei, P. Bogdan, and R. Marculescu, Efficient Modeling and Simulation of Bacteria-based Nanonetworks with BNSim, IEEE Journal on Selected Areas in Communications - 2013 Special Issue on Emerging Technologies in Communications, 2013.
  • P. Bogdan, S. Jain, K. Goyal, R. Marculescu, "Pacemaker control of heart rate variability: A cyber physical system perspective." ACM Transactions on Embedded Computing Systems (TECS) 12.1s (2013): 50.
  • H.-K. Peng and R. Marculescu, Identifying Dynamics and Collective Behaviors in Microblogging Traces, in Proc. of IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining (ASONAM), August, 2013.

  • 2012


  • S. Hollis, C. Jackson, P. Bogdan, R. Marculescu, ' Exploiting Emergence in On-chip Interconnects', in IEEE Transactions on Computers, Nov. 2012.
  • Z. Qian, P. Bogdan, G. Wei, CY. Tsui, R. Marculescu, ' A traffic-aware adaptive routing algorithm on a highly reconfigurable network-on-chip architecture', in Proc. 11th IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis (CODES+ISSS), 2012. (Best Paper Award)
  • P. Bogdan, R. Marculescu, S. Jain, R.T. Gavila, ' An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads,', in Proc. of Sixth IEEE/ACM International Symposium on Networks on Chip (NOCS), pp.35,42, Copenhagen, Denmark, May, 2012. (Best Paper Award)
  • P. Bogdan, G. Wei and R. Marculescu, Modeling Populations of Micro-robots for Medical Applications, in Proc. of the 2nd IEEE International Workshop on Molecular and Nanoscale Communications, Ottawa, Canada, June, 2012 
  • P. Bogdan, S. Jain, K. Goyal, R. Marculescu. "Implantable Pacemakers Control and Optimization via Fractional Calculus Approaches: A Cyber-Physical Systems Perspective."Proc. of the 2012 IEEE/ACM Third International Conference on Cyber-Physical Systems. IEEE Computer Society, 2012

  • 2011

  • C.-L. Chou, R. Marculescu, U. Ogras, S. Chatterjee, M. Kishinevsky, and D. Loukianov, ' System Interconnect Design Exploration for Embedded MPSoCs', in Proc. of 2011 13th International Workshop on System Level Interconnect Prediction (SLIP), pp.1-8, June 5, 2011.
  • R. David, P. Bogdan, R. Marculescu, and U. Ogras, ' Dynamic Power Management of Voltage-Frequency Island Partitioned Networks-on-Chip Using Intel's Single-chip Cloud Computer', in Proc. of Fifth IEEE/ACM International Symposium on Networks on Chip (NOCS), pp.257-258, Pittsburgh, PA, 1-4 May 2011.
  • R. David, P. Bogdan, R. Marculescu, and U. Ogras, ' Dynamic Power Management of Voltage-Frequency Island Partitioned Networks-on-Chip Using Intel's Single-chip Cloud Computer', in Proc. of Fifth IEEE/ACM International Symposium on Networks on Chip (NOCS), pp.257-258, Pittsburgh, PA, 1-4 May 2011.
  • G. Wei, P. Bogdan, and R. Marculescu, ' A Software Framework for Trace Analysis Targeting Multicore Platforms Design', in Proc. of 2011 Fifth IEEE/ACM International Symposium on Networks on Chip (NOCS), pp.259-260, Pittsburgh, PA, 1-4 May 2011.
  • P. Bogdan, R. Marculescu. 'Non-Stationary Traffic Analysis and Its Implications on Multicore Platform Design', in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol.30, no.4, pp.508-519, April 2011.
  • C.-L. Chou and R. Marculescu,' FARM: Fault-Aware Resource Management in NoC-based Multiprocessor Platforms ', in Proc. of Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1-6, 14-18 March 2011.
  • P. Pande, F. Clermidy, D. Puschini, I. Mansouri, P. Bogdan, R. Marculescu, and A. Ganguly, ' Sustainability Through Massively Integrated Computing: Are We Ready to Break the Energy Efficiency Wall for Single-Chip Platforms?', in Proc. of Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1-6, 14-18 March 2011.
  • C.-L. Chou and R. Marculescu,' FARM: Fault-Aware Resource Management in NoC-based Multiprocessor Platforms ', in Proc. of Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1-6, 14-18 March 2011.
  • P. Bogdan, R. Marculescu, 'Workload Modeling and Related Issues for Designing Future Cyber Physical Systems', in IEEE Design & Test of Computers, vol.28, no.4, pp.78,87, July-Aug. 2011.
  • P. Bogdan, R. Marculescu, 'Towards a Science of Cyber-Physical Systems Design', in Proc. ACM/IEEE Intl. Conf. on Cyber-Physical Systems, Chicago, IL, April 2011.

  • 2010

  • C.-L. Chou, R. Marculescu,' Designing Heterogeneous Embedded Network-on-Chip Platforms With Users in Mind ', in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 29, Issues. 9, pp. 1301 - 1314, Sep. 2010.
  • P. Bogdan, R. Marculescu, ' Workload Characterization and Its impact on Multicore Platform Design', Proc. 8th IEEE/ACM/IFIP International Conference on Hardware/software codesign and system synthesis (CODES+ISSS), 2010.
  • S. Garg, D. Marculescu, and R. Marculescu, ' Custom Feedback Control: Enabling Truly Scalable On-Chip Power Management for MPSoCs', in Proc. ACM/IEEE Intl. Symposium on Low Power Electronics and Design, Austin, TX, Aug. 2010.
  • P. Bogdan, M. Kas, R. Marculescu, O. Mutlu, ' QuaLe: A Quantum-Leap Inspired Model for Non-stationary Analysis of NoC Traffic in Chip Multi-processors', in Fourth ACM/IEEE International Symposium on International Symposium on Networks-on-Chip (NOCS), 2010, Grenoble, May 2010.
  • U. Y. Ogras, P. Bogdan, R. Marculescu. 'An analytical approach for network-on-chip performance analysis', in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 29, Issue 12, Dec. 2010.
  • C.-L. Chou, R. Marculescu,' Run-Time Task Allocation Considering User Behavior in Embedded Multiprocessor Networks-on-Chip ', in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 29, no. 1, pp. 78-91, Jan. 2010.

  • 2009

  • P. Bogdan, R. Marculescu, 'Statistical Physics Approaches for Network-on-Chip Traffic Characterization', Proc. 7th IEEE/ACM International Conference on Hardware/software codesign and system synthesis (CODES/ISSS), 2009.
  • C.-L. Chou, R. Marculescu, ' User-Centric Design Space Exploration for Heterogeneous Network-on-Chip Platforms', in Proc. Design, Automation and Test in Europe Conf., Nice, France, April 2009.
  • U. Y. Ogras, R. Marculescu, D. Marculescu, E. G. Jung, ' Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip ', in IEEE Trans. on Very Large Scale Integration Systems, vol. 17, no. 3, pp. 330-341, March 2009. (Best Paper Award)
  • R. Marculescu, P. Bogdan, ' The Chip Is the Network: Toward a Science of Network-on-Chip Design', Foundations and Trends in Electronic Design Automation, vol. 2, no. 4, pp. 371-461, March 2009.
  • R. Marculescu, U. Y. Ogras, L.-S. Peh, N. E. Jerger, Y. Hoskote, ' Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives ', in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 28, no. 1, pp. 3-21, Jan. 2009.

  • 2008

  • C.-L. Chou, U. Y. Ogras, R. Marculescu,' Energy- and Performance-aware Incremental Mapping for Networks-on-Chip with Multiple Voltage Levels ', in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 27, no. 10, pp. 1866-1879, Oct. 2008.
  • C.-L. Chou, R. Marculescu, ' Contention-aware Application Mapping for Network-on-Chip Communication Architectures', in Proc. Intl. Conf. on Computer Design (ICCD), Lake Tahoe, CA, Oct. 2008.
  • P. Bogdan, R. Marculescu, ' Hitting Time Analysis for Stochastic Communication', in Proc. ACM Intl. Conf. on Nano-Net, Boston, Sep. 2008.
  • U. Y. Ogras, R. Marculescu, D. Marculescu, ' Variation-Adaptive Feedback Control for Networks-on-Chip with Multiple Clock Domains ', in Proc. IEEE/ACM Design Automation Conf., Anaheim, June 2008. (Best Paper Candidate)
  • C.-L. Chou, R. Marculescu, ' User-Aware Dynamic Task Allocation in Networks-on-Chip ', in Proc. Design, Automation and Test in Europe Conf., Munich, Germany, March 2008.
  • U. Y. Ogras, R. Marculescu, ' Analysis and Optimization of Prediction-based Flow Control in Networks-on-Chip ', in ACM Trans. on Design Automation of Electronic Systems (TODAES), vol. 13, no.1, Jan. 2008.
  • N. H. Zamora, X. Hu, U. Y. Ogras, R. Marculescu, 'Enabling Multimedia Using Resource-Constrained Video Processing Techniques: A Node-Centric Perspective', in ACM Trans. on Design Automation of Electronic Systems (TODAES), vol. 13, no.1, Jan. 2008.
  • J.-C. Kao, R. Marculescu, 'Predictive Energy-Efficient Multicast for Large-Scale Mobile Ad Hoc Networks', in Proc. IEEE Consumer Communications and Networking Conference (CCNC), Las Vegas, NV, Jan. 2008.

  • 2007

  • P. Bogdan, R. Marculescu, 'Quantum-like effects in network-on-chip buffers behavior', in Proc. 44th annual Design Automation Conference (DAC '07), New York, NY, 2007.
  • C.-L. Chou, R. Marculescu, ' Incremental Run-time Application Mapping for Homogeneous NoCs with Multiple Voltage Levels ', in Proc. CODES+ISSS, Salzburg, Austria, Oct. 2007.
  • U. Y. Ogras, R. Marculescu, H. G. Lee, P. Choudhary, D. Marculescu, M. Kaufman, P. Nelson, ' NoC Prototyping Using FPGAs: Challenges and Promising Results in NoC Prototyping Using FPGAs ', in IEEE Micro Special Issue on Interconnects for Multi-Core Chips, September/October 2007.
  • H. G. Lee, N. Chang, U. Y. Ogras, R. Marculescu, ' On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches ', in ACM Trans. on Design Automation of Electronic Systems (TODAES), Vol.12, No.3, Aug. 2007.
  • P. Bogdan, R. Marculescu, 'Quantum-like effects in network-on-chip buffers behavior', in Proc. IEEE/ACM Design Automation Conf., San Diego, June 2007.
  • U. Y. Ogras, R. Marculescu, P. Choudhary, D. Marculescu, ' Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip ', in Proc. IEEE/ACM Design Automation Conf., San Diego, June 2007.
  • C. Grecu, A. Ivanov, P. Pande, A. Jantsch, E. Salminen, U. Ogras, R. Marculescu, ' Towards Open Network-on-Chip Benchmarks ', in Proc. of First Intl. Symp. on Networks-on-Chip (NOCS'07), pp.205, May 2007.
  • U. Y. Ogras, R. Marculescu, ' Analytical Router Modeling for Networks-on-Chip Performance Analysis ', in Proc. Design, Automation and Test in Europe Conf., Nice, France, April 2007.
  • T.-C. Huang, U. Y. Ogras, R. Marculescu, ' Virtual Channels Planning for Networks-on-Chip ', in Proc. 8th International Symposium on Quality Electronic Design (ISQED'07), San Jose, March 2007.
  • P. Bogdan, T. Dumitras, R. Marculescu, ' Stochastic Communication: A New Paradigm for Fault-Tolerant Networks-on-Chip ', in Hindawi VLSI Design, Special Issue on Networks-on-Chip, Feb. 2007.
  • N. Zamora, X. Hu, R. Marculescu, ' System-Level Performance/Power Analysis for Platform-Based Design of Multimedia Applications, ' in ACM Trans. on Design Automation of Electronic Systems (TODAES), Vol.12, No.1, Jan. 2007.
  • J.-C. Kao, R. Marculescu, 'Minimizing Eavesdropping Risk by Transmission Power Control in Multihop Wireless Networks', IEEE Trans. on Computers, Vol.56, No.8, pp. 1009-1023, Aug. 2007.
  • J.-C. Kao, R. Marculescu, 'Energy-Efficient Anonymous Multicast in Mobile Ad-Hoc Networks', in Proc. Intl. Conf. on Parallel and Distributed Systems (ICPADS), Hsinchu, Taiwan, Dec. 2007.
  • N. Zamora, R. Marculescu, 'Coordinated Distributed Power-Management with Video Sensor Networks: Analysis, Simulation, and Prototyping', in Proc. Intl. Conf. in Distributed Smart Cameras, Vienna, Austria, September, 2007.
  • N. Zamora, J.-C. Kao, R. Marculescu, 'Distributed Power-Management Techniques for Wireless Network Video Systems', in Proc. Design, Automation and Test in Europe Conf., Nice, France, April 2007.
  • J.-C. Kao, R. Marculescu, 'Real-Time Anonymous Routing for Mobile Ad Hoc Networks', in Proc. IEEE Wireless Communications and Networking Conference (WCNC), Hong Kong, March 2007.

  • 2006

  • J. Hu, U. Y. Ogras, R. Marculescu, ' System-Level Buffer Allocation for Application-Specific Networks-on-Chip Router Design' , in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol.25, No.12, pp. 2919-2933, Dec. 2006.
  • U. Y. Ogras, R. Marculescu, ' "It’s a small world after all": NoC Performance Optimization via Long-range Link Insertion, ' in IEEE Trans. on Very Large Scale Integration Systems, Special Section on Hardware/Software Codesign and System Synthesis, Vol.14, No.7, July 2006.
  • U. Y. Ogras, R. Marculescu, 'Communication-based Design for Nanoscale SOCs,' VLSI Handbook, Wai-Kai Chen (ed.), Second Edition, CRC Book Press, December 2006.
  • P. Bogdan, R. Marculescu, ' A Theoretical Framework for On-Chip Stochastic Communication Analysis', in Proc. IEEE Intl. Conf. on Nano-Networks, Lausanne, Switzerland, Sep. 2006.
  • Y. Liu, S. Chakraborty, R. Marculescu, ' Generalized Rate Analysis for Media-Processing Platforms', 12th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Sydney, August 2006.
  • R. Marculescu, U. Y. Ogras, N. H. Zamora, ' Computation and Communication Refinement for Multiprocessor SoC Design: A System-Level Perspective , ' in ACM Trans. on Design Automation of Electronic Systems, Special Issue on Novel Paradigms in System-Level Design, Vol.11, No.3, pp. 564-592, July, 2006.
  • H. G. Lee, U. Y. Ogras, R. Marculescu, N. Chang, ' Design Space Exploration and Prototyping for On-chip Multimedia Applications ', in Proc. IEEE/ACM Design Automation Conf., San Francisco, July 2006.
  • U. Y. Ogras, R. Marculescu, ' Prediction-based Flow Control for Network-on-Chip Traffic ', in Proc. IEEE/ACM Design Automation Conf., San Francisco, July 2006.
  • U. Y. Ogras, R. Marculescu, H. G. Lee, N. Chang, ' Communication Architecture Optimization: Making the Shortest Path Shorter in Regular Networks-on-Chip ', in Proc. Design, Automation and Test in Europe Conf., Munich, Germany, March 2006.
  • R. Marculescu, J. Rabaey, A. Sangiovanni-Vincentelli, ' Is "Network" The Next "Big Idea" In Design?', in Proc. Design, Automation and Test in Europe Conf., Munich, Germany, March 2006. (Hot Topic “Is “Network” The Next “Big Idea” In Design? Network Paradigms in Systems, Sensors, & Silicon”)
  • J.-C. Kao, R. Marculescu, 'Eavesdropping Minimization via Transmission Power Control in Ad-Hoc Wireless Networks', IEEE Intl. Workshop on Wireless Ad Hoc and Sensor Networks, New York, NY, 2006. (Best Student Paper Award)
  • J.-C. Kao, R. Marculescu, 'On Optimization of E-Textile Systems Using Redundancy and Energy-Aware Routing', IEEE Trans. on Computers, Vol.55, No.6, pp. 745-756, June 2006.

  • 2005

  • U. Y. Ogras, R. Marculescu, ' Application-Specific Network-on-Chip Architecture Customization via Long-Range Link Insertion', in Proc. IEEE/ACM Intl. Conf. on Computer Aided Design, San Jose, CA, Nov. 2005.
  • U. Y. Ogras, J. Hu, R. Marculescu, ' Key Research Problems in NoC Design: A Holistic Perspective ', in Proc. CODES+ISSS, Jersey City, NJ, Sep. 2005.
  • J. Hu, R. Marculescu, ' Communication and Task Scheduling of Application-Specific Networks-on-Chip', in IEE Proceedings Computers & Digital Techniques, Sep. 2005.
  • J. Hu, R. Marculescu, ' Energy- and Performance-Aware Mapping for Regular NoC Architectures', in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol.24, No.4, April 2005.
  • U. Y. Ogras, R. Marculescu, ' Energy- and Performance-Driven NoC Communication Architecture Synthesis Using a Decomposition Approach ', in Proc. Design, Automation and Test in Europe Conf., Munich, Germany, March 2005.
  • Z. Ren, B.H. Krogh, R. Marculescu, ' Hierarchical Adaptive Dynamic Power Management ', in IEEE Trans. on Computers, Vol.54, No.4, April 2005.
  • J.-C. Kao, R. Marculescu, 'Energy-Aware Routing for E-Textile Applications', in Proc. Design, Automation and Test in Europe Conf., Munich, Germany, March 2005.
  • 2004

  • J. Hu, R. Marculescu, 'Application Specific Buffer Space Allocation for Networks on Chip Router Design', in Proc. IEEE/ACM Intl. Conf. on Computer Aided Design, San Jose, CA, Nov. 2004.
  • R. Marculescu, D. Marculescu, L. Pileggi, 'Toward an Integrated Design Methodology for Fault-Tolerant, Multiple Clock/Voltage Integrated Systems', in Proc. IEEE Intl. Conf. on Compter Design (ICCD), San Jose, Ca, Oct. 2004. (Invited Paper)
  • J. Hu, Y. Shin, N. Dhanwada, R. Marculescu, 'Architecting Voltage Islands in Core-based System-on-a-Chip Designs', in Proc. ISLPED, Newport Beach, Ca, Aug. 2004.
  • J. Hu, R. Marculescu, 'DyAD - Smart Routing for Networks-on-Chip', in Proc. IEEE/ACM Design Automation Conf., San Diego, Ca, June 2004.
  • Z. Ren, B. Krogh, R.Marculescu, 'Hierarchical Adaptive Dynamic Power Management ', in Proc. Design, Automation and Test in Europe Conf., Paris, France, Feb. 2004.
  • J. Hu, R. Marculescu, 'Energy-Aware Communication and Task Scheduling for Network-on-Chip Architectures under Real-Time Constraints', in Proc. Design, Automation and Test in Europe Conf., Paris, France, Feb. 2004.
  • R. Marculescu, M. Pedram, J. Henkel, 'Distributed Multimedia System Design: A Holistic Perspective', in Proc. Design, Automation and Test in Europe Conf., Paris, France, Feb. 2004. (Special Day in Multimedia)
  • T. Dumitras, S. Kerner, R. Marculescu, 'Enabling On-Chip Diversity Through Architectural Communication Design', in Proc. ASP-DAC, Yokohama, Japan, Jan. 2004.
  • G. Varatkar, R. Marculescu, ' On-chip Traffic Modeling and Synthesis for MPEG-2 Video Applications', in IEEE Trans. on Very Large Scale Integration Systems, Vol.12, No.1, Jan. 2004. (Best Paper Award)
  • N. Zamora, X. Hu, U. Y. Ogras, R. Marculescu, 'Resource-Aware Video Processing Techniques for Ambient Multimedia Systems', in Proc. IEEE Intl. Conf. on Multimedia and Expo, Taipei, Taiwan, June 2004.
  • X. Hu, R. Marculescu, 'Adaptive Data Partitioning for Ambient Multimedia', in Proc. IEEE/ACM Design Automation Conf., San Diego, Ca, June 2004.
  • R. Marculescu, M. Pedram, J. Henkel, 'Distributed Multimedia System Design: A Holistic Perspective', in Proc. Design, Automation and Test in Europe Conf., Paris, France, Feb. 2004. (Special Day in Multimedia)
  • X. Hu, U. Y. Ogras, N. Zamora, R. Marculescu, 'Data Partitioning Techniques for Pervasive Multimedia Platforms', in Proc. IEEE Intl. Conf. on Multimedia and Expo, Taipei, Taiwan, June 2004.

  • 2003

  • G. Varatkar, R. Marculescu, 'Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization', in Proc. IEEE/ACM Intl. Conf. on Computer Aided Design, San Jose, CA, Nov. 2003.
  • T. Dumitras, R. Marculescu, 'On-Chip Stochastic Communication,' in Proc. Design, Automation and Test in Europe Conf., Munich, Germany, March 2003.
  • J. Hu, R. Marculescu, 'Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures', in Proc. Design, Automation and Test in Europe Conf., Munich, Germany, March 2003. (Best Paper Award)
  • J. Hu, R. Marculescu, 'Energy-Aware Mapping for Tile-based NOC Architectures Under Performance Constraints', in Proc. ASP-DAC, Kitakyushu, Japan, Jan. 2003.
  • T. Dumitras, S. Kerner, R. Marculescu, 'Towards on-chip fault-tolerant communication', in Proc. ASP-DAC, Kitakyushu, Japan, Jan. 2003. (Best Paper Award)
  • D. Marculescu, N. Zamora, P. Stanley-Marbell, R. Marculescu, 'Fault-Tolerant Techniques for Ambient Intelligent Distributed Systems', in Proc. IEEE/ACM Intl. Conf. on Computer Aided Design, San Jose, CA, Nov. 2003.
  • M. Lindwer, D. Marculescu, T. Basten, R. Zimmermann, R. Marculescu, S. Jung, E. Cantatore, 'Ambient Intelligence Visions and Achievements: Linking Abstract Ideas to Real-World Concepts', in Proc. Design, Automation and Test in Europe Conf., Munich, Germany, March 2003. (Hot topic session)
  • D. Marculescu, R. Marculescu, N. Zamora, P. Stanley-Marbell, P. K. Khosla, S. Park, S. Jayaraman, S. Jung, C. Lauterbach, W. Weber, T. Kirstein, D. Cottet, J. Grzyb, G. Troester, 'Electronic Textiles: A Platform for Pervasive Computing', in Proc of IEEE, Dec. 2003.
  • P. Stanley-Marbell, D. Marculescu, R. Marculescu, P. K. Khosla, 'Modeling, Analysis and Self-Management of Electronic Textiles', IEEE Trans. on Computers, Aug. 2003. (Special issue on Wearable Computing).

  • 2002

  • G. Varatkar, R. Marculescu, 'On-Chip Communication Analysis for Multimedia Applications', in Proc. IEEE Intl. Conf. on Multimedia and Expo, Lausanne, Switzerland, Aug. 2002.
  • G. Varatkar, R. Marculescu, 'Traffic Analysis for On-chip Networks Design of Multimedia Applications' , in Proc. IEEE/ACM Design Automation Conf., New Orleans, LA, June 2002.
  • J. Hu, Y. Deng, R. Marculescu, 'System-Level Point-to-Point Communication Synthesis Using Floorplanning Information', in Proc. ASP-DAC, Bangalore, India, Jan. 2002.
  • D. Marculescu and R. Marculescu, 'System and microarchitectural level power modeling, optimization, and their implications in energy aware computing,' in Power Aware Design Methodologies, M. Pedram, J. Rabaey (eds.), Kluwer Academic Publishers, 2002.
  • R. Marculescu, D. Marculescu, 'Does Q = MC2? (On the relationship between Quality in electronic design and the Model of Colloidal Computing)', in Proc. IEEE/ACM Intl. Symp. on Quality of Electronic Design (ISQED), San Jose, CA, March 2002. (Invited Paper)
  • D. Marculescu, R. Marculescu, P. K. Khosla, 'Challenges and Opportunities in Electronic Textile Modeling, Analysis and Optimization', International Interactive Textile for the Warrior Conference, Cambridge, MA, July 2002. (Invited poster)
  • D. Marculescu, R. Marculescu, P. K. Khosla, 'Challenges and Opportunities in Electronic Textiles Modeling and Optimization', in Proc. IEEE/ACM Design Automation Conf., New Orleans, LA, June 2002. (Special session on e-textiles)

  • 2001

  • R. Marculescu, A. Nandi, L. Lavagno, A. Sangiovanni-Vincentelli, 'System-Level Power/Performance Analysis of Portable Multimedia Systems Communicating over Wireless Channels' , in Proc. IEEE/ACM Intl. Conf. on Computer Aided Design, San Jose, CA, Nov. 2001.
  • A. Nandi, R. Marculescu, 'System-Level Power/Performance Analysis for Embedded Systems Design' , in Proc. IEEE/ACM Design Automation Conf., Las Vegas, NV, June 2001.
  • R. Marculescu, A. Nandi, 'Probabilistic Application Modeling for System-Level Performance Analysis' , in Proc. Design, Automation and Test in Europe Conf., Munich, Germany, March 2001. (Best Paper Award)


  • Copyright 2018 SLD Group @ CMU