(c) ACM. This is the author's version of the work. It is posted here by permission of ACM for your personal use. Not for redistribution. The definitive version was published in the corresponding publication described below.

(c) IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.

2009

  • P. Stanley-Marbell, D. Marculescu, "A Building Block for Macro-Scale Sensor Electro-Mechanical Systems," in ACM Trans. on Design Automation of Electronics Systems, to appear 2009.

  • S. Herbert, D. Marculescu, "The Impact of Variability on Chip-Multiprocessor Power and Performance," in IEEE Trans. on VLSI Systems, to appear 2009.

  • U.Y. Ogras, R. Marculescu, D. Marculescu, E.-G. Jung, "Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip," in IEEE Trans. on VLSI Systems, vol.17, no.3, pp. 330-341, March 2009. (Special Section on Networks-on-Chip)

  • P. Choudhary, D. Marculescu, "Power Management of Voltage/Frequency Island-Based Systems Using Hardware Based Methods," in IEEE Trans. on VLSI Systems, vol.17, no.3, pp. 427-438, March 2009.

    2008

  • S. Garg, D. Marculescu, "System Level Throughput Analysis for Process Variation Adaptive Multiple Voltage-Frequency Island Designs," in ACM Trans. on Design Automation of Electronic Systems, vol.13, No.4, pp. 1-25, Sept. 2008.

  • N. Miskov-Zivanov, D. Marculescu, "Modeling and Optimization for Soft Error Reliability of Sequential Circuits," in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol.27, No.5, pp. 803-816, May 2008.

  • D. Marculescu, S. Garg, "Process-Driven Variability Analysis for Single and Multiple Voltage-Frequency Island, Latency-Constrained Systems," in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol.27, No.5, pp. 893-905, May 2008.

    2007

  • U.Y. Ogras, R. Marculescu, H.G. Lee, P. Choudhary, D. Marculescu, M. Kaufman, P. Nelson, "Challenges and Promising Results in NoC Prototyping Using FPGAS," in IEEE Micro, vol.27, No.5, Sept-Oct. 2007.

  • R.I. Bahar, D. Hammerstrom, J. Harlow, W.H. Joyner Jr., C. Lau, D. Marculescu, A. Orailoglu, M. Pedram, "Architectures for Silicon Nanoelectronics and Beyond," in IEEE Computer, vol. 40, No.1, pp.25-33, Jan. 2007.

    2006

  • N. Miskov-Zivanov and D. Marculescu, "Circuit Reliability Analysis Using Symbolic Techniques," in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol.25, No.12, pp. 2638-2649, Dec. 2006.

    2005

  • D. Marculescu, E. Talpes, "Energy Awareness and Uncertainty in Design at Microarchitecture Level," in IEEE Micro, Vol.25, No.5, pp.64-76, September/October 2005. (Special Issue on Energy Efficient Design)

  • P. Koopman, H. Choset, R. Gandhi, B. Krogh, D. Marculescu, P. Narasimhan, J.M. Paul, R. Rajkumar, D. Siewiorek, A. Smailagic, P. Steenkiste, D.E. Thomas, C. Wang, "Undergraduate Embedded System Education at Carnegie Mellon," in ACM Trans. on Embedded Computing Systems, vol.4, No.3, pp.500-528, August 2005. (Special Issue on Embedded Systems Education)

  • E. Talpes and D. Marculescu, "Execution Cache-Based Microarchitecture for Power-Efficient Superscalar Processors," in IEEE Trans. on VLSI, vol.13, No.1, pp.14-26, Jan. 2005.

  • E. Talpes and D. Marculescu, "Toward a Multiple Clock/Voltage Island Design Style for Power Aware Processors," in IEEE Trans. on VLSI, vol.13, No.5, pp.591-603, May 2005.

    2003

  • D. Marculescu, R. Marculescu, N.H. Zamora, P. Stanley-Marbell, P.K. Khosla, S. Park, S. Jayaraman, S. Jung, C. Lauterbach, W. Weber, T. Kirstein, D. Cottet, J. Grzyb, G. Troester, "Electronic Textiles: A Platform for Pervasive Computing," in Proceedings of IEEE, vol.91, No.12, Dec. 2003.

  • P. Stanley-Marbell, D. Marculescu, R. Marculescu, and P.K. Khosla, "Modeling, Analysis and Self-Management of Electronic Textiles," in IEEE Trans. on Computers, vol.52, No.8, Aug. 2003. (Special issue on Wearable Computing).

    2000-2002

  • A. Iyer and D. Marculescu, "Microarchitecture-level Power Management," in IEEE Trans. on VLSI Systems, vol.10, No.3, June 2002.

  • D. Marculescu, R. Marculescu, and M. Pedram, "Theoretical Bounds for Switching Activity Analysis in Finite-State Machines", in IEEE Trans. on VLSI Systems, vol.8, No.3, July 2000.

  • D. Marculescu, R. Marculescu, and M. Pedram, "Stochastic Sequential Machines Synthesis with Application to Constrained Sequence Generation," in ACM Trans. on Design Automation of Electronic Systems, vol.5, No.2, Jan. 2000.

    1998-1999

  • R. Marculescu, D. Marculescu, and M. Pedram, "Sequence Compaction for Power Estimation: Theory and Practice," in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol.18, No.7, July 1999.

  • R. Marculescu, D. Marculescu, and M. Pedram, "Probabilistic Modeling of Dependencies During Switching Activity Analysis," in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol.17, No.2, Feb. 1998.

    1996-1997

  • R. Marculescu, D. Marculescu, and M. Pedram, "Vector Compaction Using Dynamic Markov Models," in IEICE Trans. on Fundamentals (Special issue on VLSI design and CAD algorithms), October 1997, Japan.

  • D. Marculescu, R. Marculescu, and M. Pedram, "Information Theoretic Measures for Power Analysis," in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (Special Issue on Low Power Design), vol.15, No.6, June 1996.


    Back to my home page.